.Modelica.Blocks.Noise.NormalNoise

Information

A summary of the common properties of the noise blocks is provided in the documentation of package Blocks.Noise. This NormalNoise block generates reproducible, random noise at its output according to a normal distribution. This means that random values are normally distributed with expectation value mu and standard deviation sigma. (see example NoiseExamples.NormalNoiseProperties). By default, two or more instances produce different, uncorrelated noise at the same time instant. The block can only be used if on the same or a higher hierarchical level, model Blocks.Noise.GlobalSeed is dragged to provide global settings for all instances.

Revisions

Date Description
June 22, 2015
Initial version implemented by A. Klöckner, F. v.d. Linden, D. Zimmer, M. Otter.
DLR Institute of System Dynamics and Control

Generated at 2020-06-05T07:38:22Z by OpenModelica 1.16.0~dev-420-gc007a39